Home
Precision Physical Users Manual
Contents
1. Read amp convert Convert the SDC the UCF file to to a UCF output SDC file if Use UCF Timing Constraints from the Inout UCF File Use the UCF File without Conversion There may be times when you want to use the UCF file without having the Precision Physical tool take any action on the file An example of this is a design that contains a black box within a design If you want the UCF file passed through the tool without conversion deselect the checkbox in the Use UCF Timing Constraints from the UCF Input File The effects are shown in the following figure In this case the file is read in and passes through the tool without being converted to SDC Figure 2 6 Pass through UCF Options UCF Input File pass UCF file Write out the through tool original UCF input file FM Use UCF Timing Constraints from the Input UCF File RTL Synthesis Output Files The output files from Precision are placed in the current implementation directory This directory will be used as the project directory for ISE The files of interest to Place and Route are the EDF file and the UCF file The EDF file is your synthesized design in EDIF format The UCF Xilinx user constraint format file contains the constraints that you applied to the synthesized design An additional file of interest is the XDB file This file is your design database in Precision binary format It is equivalent to the content of both the EDF
2. Input Files lt design_name gt xdb efints sde Output Files File Extension RTL Synthesis Output File Description edf Output Design in EDIF format uct Generated constraint file for ISE user constraint format xdb Output design in Mentor Graphics binary format 2 4 Precision Physical Synthesis Users Manual 2003c Update March 2004 The Integrated Physical Synthesis Flow The illustrations on the left show the general sequence of steps required to synthesize your design with Precision Complete information on Compiling Setting Constraints and Synthesizing can be found in the Precision RTL Synthesis User s Manual starting on page 2 1 Information on how to setup a project using a Tcl script can be found on page 2 17 Eliminating Relaxed Constraints If the constraints you specify in RTL synthesis are too tight and impossible for Place and Route PAR to meet the ISE tools will report an error and fail to finish To prevent this from happening Precision has an option that will automatically relax an impossible constraint and allow PAR to finish The tradeoff is that a relaxed constraint may cause true critical paths to be ignored It is important to turn off this Precision option if you are using physical synthesis As shown below after you select the Xilinx technology use the pulldown menu Tools gt Set Options gt ISE 6 1 gt Generate Vendor Constraint File dialog to disable the option Figure 2 4 Generate
3. Nucleus All You Need Opsim OutNet P amp RIntegrator PACKAGE PADS PARADE ParallelRoute Autocells ParallelRoute MicroRoute Parts SpeciaList PathLink PCB Gen PCB Generator PCB IGES PCB Mechanical Interface POLSim PE GMAC PE MAC Personal Learning Program Physical Cable Physical Test Manager SITE PLA Lcompiler Platform Express PX PLDSynthesis PLD Synthesis I Power Analyst Power Analyst Station Power To Create PowerLogic PowerPCB Precision Pre Silicon ProjectXpert ProtoBoard ProtoView QDS QO Net QualityIBIS QuickCheck QuickFault QuickConnect QuickGrade QuickKHDL QuickKHDL Express QuickHDL Pro QuickPart Builder QuickPart Tables QuickParts QuickPath QuickSim QuickStart QuickUse QuickUse Development System QuickVHDL Quiet Quiet Ex pert RAM Lcompiler RC Delay RC Reduction RapidEx pert REAL Time Solutions Registrar Reliability Advisor Reliability Manager REMEDI Renoir RF Architect RF Gateway RISE ROM Lcompiler RTL X Press Satellite PCB Station scaleable Models Scaleable Verification SCAP Scan Sequential Scepter Scepter DFF Schematic View Compiler SVC Schemgen SDF Software Data Formatter SDL2000 Leompiler Seamless Seamless ASAP Seamless C Bridge Selective Promotion Sheet Planner Signal Spy Signal Vision SignaMask OPC Signature Synthesis Simulation Manager SimPilot SimView Smartgrid SmartMask SmartParts SmartRouter SmartScripts Smartshape SNX SneakPath Analyze
4. Physical Repor Timing a Output Files Lo Log File Infos 1 i i ts Pi Scnamat _ Double Click Advanced Report Timing Technology Schemat pal Physical Databas Ri Area Report Physical synthesis Re Timing Report Re Timing Violation Report pane aria RA Constraints Report P p uart edf zA a ee a 3 2 Precision Physical Synthesis Users Manual 2003c Update 1 March 2004 PreciseView Basics The Physical Window Layout The Physical Window Layout The Physical window is divided into three panes and a status banner The Physical Device pane displays the physical layout of the chip and how the design instances are placed in the chip The Browser pane allows you to select design object and see how they are placed in the physical device The Global Context pane allows you to see and zoom in the chip view when the view in the Physical Device pane is zoomed in tight The Status Banner indicates whether the mode is Stroke mode or Select mode and how many instances are selected Figure 3 2 The Physical Window Layout PQ Fie Edt view Tools window Help ae ose r eeke omea jez u Design Unplaced Pu 4 gt F Uart INTERFACE 2 Clock 1 Ports lt I Nets Physical Report Timing Advanced Report Timing Physical Device pane Physical Synthesis I gt Move Swap 2 Improve Place Selected gt Remove Overlap All Global context pane 4 S
5. u to Undo if you don t like the placement Placing an Unplaced Instance You can use the following procedure to place an un placed instance l 2 4 16 Click on the Unplace tab in the Browser pane Click on an unplaced instance then right click and select Place Move the curser to the Physical Device pane and click on an un occupied area If a yellow box appears press o to remove the overlap Press Shift s to unselect the selected object Press u to Undo if you don t like the placement or m to move the instance Precision Physical Synthesis Users Manual 2003c Update1 March 2004 Index Index A O Advanced Timing Report 4 9 output 2 4 Automated Flow 2 10 p B PAR_BELDLYRPT 1 2 Blocks 3 12 Path Definition Sets 4 9 Physical Database 2 8 C Physical Options Changing the View with Strokes 3 4 Options Colors 3 12 Set Physical Options 3 10 Commands Physical Report Timing 4 3 precision 1 3 Physical Synthesis precision shell 1 4 Flow 2 1 Creating a New Project 2 2 Input and Output Files 2 10 Creating Path Definition Sets 4 11 Run the Automated Flow 2 10 Critical Cover Threshold 3 11 Physical Window Layout 3 3 Critical Path Place amp Route Displaying the Top Ten 3 6 Rerun to Check Timing 2 11 Run first pass 2 7 D Running Separately 2 9 Database 2 8 Precise View Displaying a Timing Report 4 14 Basics 3 1 Invoking 3 2 4 2 E precision command 1 3 Expand gt Cri
6. ALLEGED PATENT OR COPYRIGHT INFRINGEMENT OR TRADE SECRET MISAPPROPRIATION BY ANY SOFTWARE LICENSED UNDER THIS AGREEMENT TERM This Agreement remains effective until expiration or termination This Agreement will automatically terminate if you fail to comply with any term or condition of this Agreement or if you fail to pay for the license when due and such failure to pay continues for a period of 30 days after written notice from Mentor Graphics If Software was provided for limited term use this Agreement will automatically expire at the end of the authorized term Upon any termination or expiration you agree to cease all use of Software and return it to Mentor Graphics or certify deletion and destruction of Software including all copies to Mentor Graphics reasonable satisfaction EXPORT Software is subject to regulation by local laws and United States government agencies which prohibit export or diversion of certain products information about the products and direct products of the products to certain countries and certain persons You agree that you will not export any Software or direct product of Software in any manner without first obtaining all necessary approval from appropriate local and United States government agencies RESTRICTED RIGHTS NOTICE Software was developed entirely at private expense and is commercial computer software provided with RESTRICTED RIGHTS Use duplication or disclosure by the U S Government or a U S Gover
7. OR ITS LICENSORS HAVE BEEN ADVISED OF THE POSSIBILITY OF SUCH DAMAGES IN NO EVENT SHALL MENTOR GRAPHICS OR ITS LICENSORS LIABILITY UNDER THIS AGREEMENT EXCEED THE AMOUNT PAID BY YOU FOR THE SOFTWARE OR SERVICE GIVING RISE TO THE CLAIM IN THE CASE WHERE NO AMOUNT WAS PAID MENTOR GRAPHICS AND ITS LICENSORS SHALL HAVE NO LIABILITY FOR ANY DAMAGES WHATSOEVER LIFE ENDANGERING ACTIVITIES NEITHER MENTOR GRAPHICS NOR ITS LICENSORS SHALL BE LIABLE FOR ANY DAMAGES RESULTING FROM OR IN CONNECTION WITH THE USE OF SOFTWARE IN ANY APPLICATION WHERE THE FAILURE OR INACCURACY OF THE SOFTWARE MIGHT RESULT IN DEATH OR PERSONAL INJURY INDEMNIFICATION YOU AGREE TO INDEMNIFY AND HOLD HARMLESS MENTOR GRAPHICS AND ITS LICENSORS FROM ANY CLAIMS LOSS COST DAMAGE EXPENSE OR LIABILITY INCLUDING ATTORNEYS FEES ARISING OUT OF OR IN CONNECTION WITH YOUR USE OF SOFTWARE AS DESCRIBED IN SECTION 7 INFRINGEMENT 9 1 Mentor Graphics will defend or settle at its option and expense any action brought against you alleging that Software infringes a patent or copyright or misappropriates a trade secret in the United States Canada Japan or member state of the European Patent Office Mentor Graphics will pay any costs and damages finally awarded against you that are attributable to the infringement action You understand and agree that as conditions to Mentor Graphics obligations under this section you must a notify Mentor Graphics promptly in writing of the ac
8. This is used to indicate whether there is enough budget to move the register towards the next LUT on the path las Mentor Graphics are f l EA FERLET EE F Precise viers a Library sce impui Slack 20 75 al port D Input Slack 1000 00 at port CLR Input Slack 5 45 at port CE Output Slack 1 46 al port Q SB e tb gt BS FS fe SS W Design Areabpsts a Editor AiD Ceme RJ Prica A mai timi Working Directory o La Positive slack on inputs allows register to be moved towards instances being driven by the register When an instance 1s selected color coded flylines are drawn in both editing and detailed view These flylines help determine if an instance can be profitably moved and in which direction If there are critical routes indicated by yellow or orange flylines in all directions moving that particular instance will not correct timing problems 4 4 Precision Physical Synthesis Users Manual 2003c Update March 2004 Interactive Fix Up with PreciseView Step 4 Use Select Expand gt Critical Cover This is an important advanced selection function When you select a instance on a critical path this function does the following PreciseView determines the start point and end point for the critical path that contains the instance All instances connected to the specified critical path are selected 1f they are within the Critical Cover Threshold By default the Critica
9. Timing violation Report lL Mets Rri FSM Report Double click and check P simplemath edf for negative slack Kes Flops a xilinx Floorplgnner H EI Operators ASH kilin Timing Report H EI 10 Pads E kilin Pad Report H E Blocks oS ilins PAR Report H E Primitives 2 8 Precision Physical Synthesis Users Manual 2003c Update1 March 2004 The Integrated Physical Synthesis Flow Checking the Xilinx Timing Report It is common at this point to double click on the Xilinx Timing Report and see if the implementation meets timing If you find positive slack you are finished If you find negative slack proceed to the Step 4 Running Place amp Route Separately If you have a large design it may take several hours to run Place amp Route and you may choose to run ISE separately from a shell The output is a Xilinx NCD file and a DLY file To create a Precision Physical database you add this NCD file the DLY file and the original Precision generated XDB file to the Input File List and Compile You then click on the Physical Design Bar and run the automated Physical Synthesis algorithms or invoke Precise View and proceed to improve the timing with Interactive Fix Up Precision Physical Synthesis Users Manual 2003c Update 1 2 9 March 2004 The Integrated Physical Synthesis Flow Step 4 Run the Automated Physical Synthesis Flow To run the Physical Synthesis Automated Flow click on the Precise View tool bar as shown below then cli
10. Tools Window Help g Mew Project New Project Project Mame Juart_top ne Project Folder E sb projectuarts Bl Open Project mo When you OK the dialog box the project and an initial implementation are created and opened in the Design Center window as shown in the figure above For detailed instructions on how to use the Project Manager see Chapter 4 Project Manager in the Precision RTL Synthesis Users Manual Precision Physical Synthesis Users Manual 2003c Update 1 2 3 March 2004 The Integrated Physical Synthesis Flow Step 2 Synthesize Your Xilinx Design ae 2 2 2 Step 1 i ein Your Xilinx Design Cor led Se amp E 7 pa IEJEGK 2 U 2 Set Constraints 1 Setup the Design IRTE 2v40cs144 6 Frequency 50 MHZ and Compile a Project project_2 a Impl project_2_impl_1 unsave fa Eh a Input Files f E reg_rxck out Add Input Files fel uart Infos 2 ckx16 et B Constraint Files write j AE uart_constraints sde N Setup Design E Script Files 2 a Output Files BD h Log File Infos 3 3 ie I Outputs 3 synthesize Y RTL Schematic E Induts the Design f constraints Report J E Nets Py J E Instances Ma n ra a Pe y r r Ht l T i Compile Input L Figure 2 3 RTL Synthesis Input and Output Files working directory precision log impl_1 lt design_name gt psp Una el lt design_name gt edf lt design_name gt ucf
11. for the From Through and To list areas Click on the Create button to display the Create window Figure 4 9 Create a Path Definition Set Path Definition set Name Juart_irmpl_t Delete Set gous Click Add to move the F uart INTERFACE item into the list m H Clocks a Fors Select an item from Ports Clocks Instances or Nets La 2 BS Inputs Through List Path Definition Set Ma 2 parityerr lt framingerr o e overrun CO InOuts H E Nets H O Instances JK Cancel Reset Precision Physical Synthesis Users Manual 2003c Update 4 11 March 2004 Advanced Timing Report Interactive Fix Up with PreciseView Path Definition Set Name Type the name for the Path Definition Set name or select it from the drop down list From Through To Lists Select the design element that you want to include in a list from the Clocks Ports Nets or Instances Click one of the Add buttons and the element is added to the list Depending on the object selected some add options might be inappropriate and the Add button will be grayed out not accessible Continue this process until all of the design elements you want in your timing report are added Click Reset to clear the fields Click OK and the tool saves the Path Definition Set as a TCL file Existing Path Definition Sets can be loaded or revised in Precision Physical Set Report Timing Options Use the Report Timing Options dialog box to set spe
12. save the current state of the active implementation Then the next time you open the project it will be restored to the state it was in when you closed it The Project Manager 1s accessible in all user interface modes Graphical User Interface GUD Tcl command line in the Transcript window or the shell window and Tcl scripts when running Precision in batch mode Precision provides Tcl commands that correspond to all of the Project Manager menus and Design Bar icons as well as other supporting commands Creating a Project The Project Manager is enabled by opening or creating a project From that point until you close the project all of your work is carried out in the context of the active implementation in that project When you invoke Precision Physical the session window displays the Design Bar and the transcript window The Design Bar displays the Project pane which is where all project related icons are found Initially it contains only 2 icons New Project and Open Project More appear after a project 1s open To create a project either click on the New Project icon on the Design Bar or choose the File gt New Project menu Precision opens the New Project dialog box as shown in Figure 2 1 2 2 Precision Physical Synthesis Users Manual 2003c Update March 2004 The Integrated Physical Synthesis Flow Figure 2 1 Initial Session Window and New Project Dialog Box a Mentor Graphics Transcript Window ES File Edit View
13. 3 L Tir i E FCF ix0 LUT Db e396 L AD ix402 fL AE ix 408 EL Db i414 tL Diol S De ixl De ix438 d interconnect DO iett Ld delay D sol p T ACE FI ft F H E E H H A E 4 4 H E View NETLIST a Entity LUTS Library xew 1 Function H1 12 I0 l2 Input Slack 0 15 at port 10 Input Slack 0 15 at port l Pg Design Center Pg Physical Input Slack 0 15 at port le Working Directory Simplemath _4bit Precision Physical Synthesis Users Manual 2003c Update 1 3 March 2004 Viewing Critical Instances PreciseView Basics Viewing Critical Instances By default the all instances are colored green However as shown in Figure 3 5 if you nght click and select Display Critical Instances from the popup menu the instances are color coded to display where timing problems exist in the placement If you turn off the display of timing paths it s easier to see Notice that only a few instances in Design A are negative orange This design has a good chance of achieving timing closure Design B however has a very dense pattern of orange and yellow instances The chances of achieving timing closure in Design B are far less Figure 3 5 Viewing Critical Instances D
14. Cancel You can enter information in the From To and Through fields using the following methods Directly typing information in the From Through and To list areas Building From Through and To lists by selecting nodes from the hierarchical browser of Ports Instances Nets and Clocks and adding them to the appropriate list Choosing information from a previously defined Path Definition set You can select an existing Path Definition Set from the drop down list Click the Reset button to clear the From Through To and Path Definition Set Name fields 4 10 Precision Physical Synthesis Users Manual 2003c Update March 2004 Interactive Fix Up with PreciseView Advanced Timing Report Path Definition Sets The tool lets you specify from through to Path Definition sets to narrow the desired timing paths to be analyzed You can save a Path Definition to an external file and then re load these Path Definition sets to help with the re evaluation of specific timing concerns after design iteration The Path Definition sets are automatically saved into an external file during the Save Project operation and are restored during the Open Project operation so that they are available for access throughout Precision The tool also allows you to set command options affecting the contents and the look of the timing report Creating Path Definition Sets The following section describes how to create a Path Definition Set and select items
15. E 4 9 Setting Advanced Report Timing OptionS sessesseeeeeessssssssseserressssssesererssssssesereresssssseeeereo 4 9 aE TE ie i E EE EIE IENA PO A E E E EEE I N EA EE 4 11 Sei Repon Timone OPERONIN casera pase cesta oie anne isaac ene EErEE TAIE E 4 12 Doon er R aE 4 14 Usine Keyboard Shortcuts for Simple Edits siiscssiem ici cerctdcevcnesarinatiaeetedeinssardits ieaaeaenneens 4 15 DB e esa Leh PE ET A IE E IE AAEN TEA A A E E N A TE 4 15 EA E E O AAAA AEN E A EEE OOE 4 15 Swapping an Instance with Another Instance we scsiscceinciccninintndrorsvaeedsreeernarrrentbonawanidwediiees 4 16 Pacina on i IMA E a EEEE 4 16 IV Precision Physical Synthesis Users Manual 2003c Update 1 March 2004 Table of Contents List of Figures Figure 1 1 Setting Up the Precision RTL Synthesis Shortcut cc cesesssseeeseeeseseeeeeeeees 1 3 Figure 2 1 Initial Session Window and New Project Dialog BOX ce eeeeeeeeeeeeseeeeeeeeeeees 2 3 Fig re 2 4 Step L Synthesize Your Xilinx Dosi iriiscicasincrerieeanncnronieriearaeinen 2 4 Figure 2 3 RTL Synthesis Input and Output Fu iv scicccsinicscsnasaranarteesinsdansaccaisnasnmavanscensrinnsnent 2 4 Fone d Genere a ace eee 2 5 Po es me Bo CN UGE OPOE ana a E ETE 2 6 Pame so Por mrret UCF OPIO aereis 2 6 Piore c Konmin Fhir amii Koni seasiiakerenistenir Ei ae EAE 2 7 Pome 2S r Pa E reet eee rere enanmeanenend 2 7 re ieee eee een 2 10 Figure 2 10 Physical Synthesis Input and Output Piles scaincs
16. E Select Expand Ju onl dali Da af Select Logic Cone mun E ml ar pA Bgn Move a Ea Comolli B Ll ADRA Swap ral ec an O N ut Place Ju Uji e HTT ary If IPT J r kod i Ez Feii a Replicate Register nL Fonli pool g i Unplace nL annl E D i f S Report Timing Through tu Uiel E Wulli mujko I a Seer nL nol IL Im UIE ert Urgo View Area Im er i ae a Sle a o anita Bele Step 6 Use Report Timing to See the Results Unlike the Physical Synthesis function the Improve Place function does not execute an automatic Report Timing command Click on the Physical Report Timing icon 4 6 Precision Physical Synthesis Users Manual 2003c Update March 2004 Interactive Fix Up with PreciseView Step 7 Look for Instances that Can Be Moved Step 7 Look for Instances that Can Be Moved to Shorten Interconnects If the physical synthesis algorithms do not achieve timing closure you can examine the layout and perform manual editing Look for instances on reported critical paths that can be moved closer together Figure 4 5 Try to Shorten the Interconnects Look for any adders and LUTs on the critical path that are contributing to a large delay Try to move them towards the center of gravity Often these can be clearly seen by looking at the highlighted critical path Be sure to examine fanin and fanout so as to not break other paths Critical Path A Move badly placed cells towards center of
17. Introduction Introduction to Physical Synthesis Precision Physical Synthesis is a product that extends the features of Precision RTL Synthesis by adding an Automated Physical Synthesis Flow and an Interactive Fix up Environment Precision Physical Synthesis can also be used to achieve timing closure on designs that have been synthesized by another third party synthesis tool Physical Synthesis is the process of combining physical information Place amp Route data with synthesis algorithms to produce better performance and overall quality of results QofR The physical synthesis features are made active after you run your design through Precision RTL synthesis and run a first pass Place amp Route with the vendor implementation tools Currently Virtex E and Virtex II are supported The physical synthesis algorithms perform three optimization techniques 1 re timing 2 replication and 3 re synthesis Re timing balances the positive and negative slacks found throughout the implemented design Once the node slacks are calculated registers are moved across logic to borrow positive slack time to improve critical timing Re timing is commonly done during RTL synthesis however the wire load models that are used are only estimates the interconnect delay During physical synthesis re timing is done with the actual interconnect delays which is far more accurate This is important because interconnect delay in a large FPGA design is a dominant fac
18. NTABILITY AND FITNESS FOR A PARTICULAR PURPOSE MENTOR GRAPHICS SHALL NOT BE LIABLE FOR ANY INCIDENTAL INDIRECT SPECIAL OR CONSEQUENTIAL DAMAGES WHATSOEVER INCLUDING BUT NOT LIMITED TO LOST PROFITS ARISING OUT OF OR RELATED TO THIS PUBLICATION OR THE INFORMATION CONTAINED INIT EVEN IF MENTOR GRAPHICS CORPORATION HAS BEEN ADVISED OF THE POSSIBILITY OF SUCH DAMAGES RESTRICTED RIGHTS LEGEND 03 97 U S Government Restricted Rights The SOFTWARE and documentation have been developed entirely at private expense and are commercial computer software provided with restricted rights Use duplication or disclosure by the U S Government or a U S Government subcontractor is subject to the restrictions set forth in the license agreement provided with the software pursuant to DFARS 227 7202 3 a or as set forth in subparagraph c 1 and 2 of the Commercial Computer Software Restricted Rights clause at FAR 52 227 19 as applicable Contractor manufacturer is Mentor Graphics Corporation 8005 S W Boeckman Road Wilsonville Oregon 97070 7777 This is an unpublished work of Mentor Graphics Corporation Table of Contents Table of Contents About This Manual field atom cies ihc 18 ET a AE E Oona mney ne eee E ner et meen Tne Rrra sterner senna meen tenet l vii Chapter 1 Pp pis ersatz EESE TE VEIA EEA AAA OE AISEEE A A 1 1 Introduction to Physical GS G oes sratssncdversenvesesrciesdi ines nrA ENDEAN ENEAN ANE A AEEA l 1 EU E E E St mers t
19. Precision Physical Synthesis Users Manual Release 2003c Updatet January 2004 Copyright Mentor Graphics Corporation 2002 2004 All rights reserved This document contains information that is proprietary to Mentor Graphics Corporation The original recipient of this document may duplicate this document in whole or in part for internal business purposes only provided that this entire notice appears in all copies In duplicating any part of this document the recipient agrees to make every reasonable effort to prevent the unauthorized use and distribution of the proprietary information End User License Agreement Trademark Information This document is for information and instruction purposes Mentor Graphics reserves the right to make changes in specifications and other information contained in this publication without prior notice and the reader should in all cases consult Mentor Graphics to determine whether any changes have been made The terms and conditions governing the sale and licensing of Mentor Graphics products are set forth in written agreements between Mentor Graphics and its customers No representation or other affirmation of fact contained in this publication shall be deemed to be a warranty or give rise to any liability of Mentor Graphics whatsoever MENTOR GRAPHICS MAKES NO WARRANTY OF ANY KIND WITH REGARD TO THIS MATERIAL INCLUDING BUT NOT LIMITED TO THE IMPLIED WARRANTIES OR MERCHA
20. S 3 7 STe a a E 3 8 bs ee gh e E E21 e EEEE enty ner an Score 3 9 esl Wh ET aig 08 c Jee 1 o h e ee beeen e ye er Omreennee ty teres tentrhenr ane ne freer ee errre tran et re raven Titere cnt Neer tt Tar ee 3 10 UT ee cies sattansceoes bokannditeastanantednasabeuandin a nA 3 10 Precision Physical Synthesis Users Manual 2003c Update 1 lil March 2004 Table of Contents Table of Contents cont Ree Tle tice ase ieeseetesaariecide dan renin 3 11 A TS al Lover Threshold sissies ri SE eda Enas 3 11 Channie me Lool or Undo EEUU cai eanercteeeep teenie EEE E EEEa 3 11 Displaying Blocks in Different COlOrS sc cawacesssnsenorterorsssaeenicconeacatinssasssansearsenisianaeeceanancsanacs 3 12 Chapter 4 Interactive Fix Up with Precise View ccccccssssccccsossessrecscccssssscenccessesscetecssserecescoseesesenss 4 T 11s 01 5 OECe Re eee tree E tre rniy rer nnty rrretenrrant ANRE 4 Oe i Me A E sic eee cents cosensnci asters adasiets cab penadianeicenendnnaalasenteanemsdameatanecenanass 4 2 wena Baer tae ad iss ET E EN 4 3 Step 3 Select a Register Start Point and Examine the Fanout and Slacks ee eeeeeeeeeees 4 4 Sepa Ve ee Epmd a L OT o 4 5 Bice U ie imon e ree Ao i E 4 6 SEPN Uie Eepori Limina O Sre tie Rosu S erreira ae EA 4 6 Step 7 Look for Instances that Can Be Moved to Shorten Interconnects cc eeeeeeeeeeees 4 7 Step S Look Tor RGoisicrs ihat C n De RKephiated sersrarnerirarient i na 4 8 AN Tn Eea EE N
21. Vendor Constraints Jptions J Input Generate Vendor Constraint File optimization T Our oer XILINY i eys 2 Click or Integrated Place and Route User Constraint File Generate Vendor Constraint Do not run command m Session Settings W Enable Auto Offset Relaxation in vendor Constraint file H Schematic Viewer iM Use UCF Timing Constraints from the Inout UCF File Use UCF Timing Constraints from the Input UCF File Precision will automatically generate and manage your constraints files The designer can read in existing SDC constraint files which can be edited in the GUI or all constraints can be produced in the GUI In either case an output SDC file is created and maintained by the tool This allows you to use this standard file for other downstream tools Additionally when timing optimization is run the timing report is generated and this file is managed along with other project data The Precision Physical tool also lets you use a UCF input file to determine timing constraints You can select this option from the Generate Constraints File dialog shown in Figure 2 4 The Precision Physical Synthesis Users Manual 2003c Update 1 2 5 March 2004 The Integrated Physical Synthesis Flow UCF options available in the tool are either use default with the box checked or don t use of a UCF file The following figure shows the effects of this selection Figure 2 5 Default UCF Options UCF Input File
22. and C compiler Software that are linked into a composite program as an integral part of your compiled computer program provided that you distribute these files only in conjunction with your compiled computer program Mentor Graphics does NOT grant you any right to duplicate or incorporate copies of Mentor Graphics real time operating systems or other ESD Software except those explicitly granted in this section into your products without first signing a separate agreement with Mentor Graphics for such purpose 3 BETA CODE Portions or all of certain Software may contain code for experimental testing and evaluation Beta Code which may not be used without Mentor Graphics explicit authorization Upon Mentor Graphics authorization Mentor Graphics grants to you a temporary nontransferable nonexclusive license for experimental use to test and evaluate the Beta Code without charge for a limited period of time specified by Mentor Graphics This grant and your use of the Beta Code shall not be construed as marketing or offering to sell a license to the Beta Code which Mentor Graphics may choose not to release commercially in any form If Mentor Graphics authorizes you to use the Beta Code you agree to evaluate and test the Beta Code under normal conditions as directed by Mentor Graphics You will contact Mentor Graphics periodically during your use of the Beta Code to discuss any malfunctions or suggested improvements Upon completion of your
23. and that you invoke the Xilinx ISE tools from the Precision GUL The steps in the flow are as follows Me i ere Le i ok oe ho eRe EERE RREA ede en ees 2 2 Step 2 Synthesize Your Xilinx Design og no oe hi hee an oe eRe Kee eG de Ree eee 2 4 Step 3 Run First Pass Place amp Route 241445 sod He ddd 4 REGS ESEHALKSE SOLER REE S 2 7 Step 4 Run the Automated Physical Synthesis Flow 0 000 2 10 Step 5 Re run Place amp Route and Check Timing 0 0 0 e eee eee 2 11 Precision Physical Synthesis Users Manual 2003c Update 2 1 March 2004 The Integrated Physical Synthesis Flow Step 1 Setting Up a Project Precision Physical includes the Project Manager to help you manage the data created during the iterative synthesis process The Project Manager offers a framework that supports and promotes a design process based on experimentation The Project Manager system is based on projects which contain multiple implementations Each implementation within a project is a separate workspace in which you can experiment with different synthesis strategies and configurations Implementations keep track of all of the design settings input files and output files that comprise its configuration The Project Manager facilitates your design efforts by allowing you to create delete copy edit and save implementations After creating a project and its implementation s proceed with your synthesis design flow Before closing the project
24. ated with a respective third party Use of third party Marks is intended to inure to the benefit of the respective third party Rev 040109
25. ave Physical Foor In Zoom Out 4a Zoom Tao Fit T View Details I Display Critical Instances 2d Transcript 28 Design C E uart_timin 4 Physical Precision Physical Synthesis Users Manual 2003c Update1 3 3 March 2004 Understanding the Left Mouse Button Actions PreciseView Basics Understanding the Left Mouse Button Actions ZOOM TO AREA Left Click Drag release draws bounding box to zoom in on SELECT OBJECT Left Click on Instance selects and highlights a single instance SELECT AREA SHIFT Left Click Drag and Release selects all instances in the bounding box UNSELECT OBJECT SHIFT Left Click on Instance unselects only that instance Changing the View with Strokes You can right click on the mouse to bring up the popup menu and accomplish many of the actions described in this section However many designers find that strokes are the fastest and most convenient method of transversing a view A stroke is an action where you press the Left Mouse Button draw a line or bounding box then release the button The direction of the line indicates what action you want to take For zooming strokes the length of the line controls the amount of zoom The strokes work in the Global Context view as well as the Physical Device View Zooming to Area Draw a bounding box with the Left Mouse Button pressed LJ Flip Flops Toy H EI Operators 7 E I0 Pads rt a H E Blocks E Advanced Report Timingi a
26. changed with the Set Options gt Physical Dialog box The color of the regions can also be changed with the Set Options gt Color dialog box Figure 3 8 Understanding Slack Thresholds Slack Thresholds Negative f2 ng Positive f2 Hs Very Positive Changing the Critical Cover Threshold The Critical Cover Threshold is a value that is used by the popup menu function Select Expand gt Critical Cover When you select an instance then select this function all instances that are connected to the selected instance that lie on a path with negative slack are selected You might want to increase this value to a 1 or 2 This increases the number in selected instances on the perimeter of the cover and gives a function like Improve Place gt With Selected more freedom to move instances without breaking other paths Changing the Level of Undo Redo There are 10 levels of Undo Redo by default This means that the last ten editing actions are saved in memory If you are short on memory you can reduce the number of Undo Redo levels to improve the amount of available memory Precision Physical Synthesis Users Manual 2003c Update 3 11 March 2004 Setting Physical Options PreciseView Basics Displaying Blocks in Different Colors If you have a hierarchical design you can turn on the Block Colors display to see how the ISE tools placed the blocks Figure 3 9 shows a filter design with six blocks The Block Colors option has been selected fro
27. cific options for your timing report Select this dialog by clicking the Options button on the Report Timing dialog The very first time this dialog is opened in the session its fields are populated with the values of the same options of the Physical Options page An example of this dialog box 1s shown in Figure 4 10 The Physical Options page can be brought up either through Tools Set Options Physical or through the Set Options menu item of the PreciseView pop up menu 4 12 Precision Physical Synthesis Users Manual 2003c Update March 2004 Interactive Fix Up with PreciseView Advanced Timing Report Figure 4 10 Set Report Timing Options Number of Paths Faths per startpoint Faths per Endpoint Slack Lirit Formatting Show Glock Paths Show Schematic Wo Show Nets Physical OK Cancel Type a desired numeric value in the Number of Paths Paths per Startpoint Paths per Endpoint or Slack Limit Note Using Paths per Startpoint is much more efficient than using Paths per Endpoint Specifying 0 for these options disables the operation of the option Formatting Check the box to indicate if you want to show the Schematic Nets or Physical on the timing report Click OK to accept the settings Precision Physical Synthesis Users Manual 2003c Update1 4 13 March 2004 Advanced Timing Report Displaying a Timing Report Interactive Fix Up with PreciseView Click the Physical Report Ti
28. ck Select Set Options gt Physical Display Colors The following provide further explanation of the display options Understanding Selection Modes Precise View can be in either Pre selection mode or Post selection mode Pre selection 1s the default mode Figure 3 7 Choosing the Selection Mode Physical Options Physical Display Colors Command Selectio Click to Choose ming Post Selection Mode Pre selecti Humber of Paths ost selection Paths per Startooint Pre selection Mode In Pre section mode a command that requires selected objects can not be invoked unless objects are pre selected All commands that requires selected objects are greyed out until you select one or more objects Post selection Mode If you choose post selection mode as shown in Figure 3 7 a command that needs selected objects can be invoked even when there is nothing selected The first thing the command does 1s prompt you to select one or more objects If something is pre selected then the command uses this selection of objects 3 10 Precision Physical Synthesis Users Manual 2003c Update 1 March 2004 PreciseView Basics Setting Physical Options Changing the Slack Thresholds As shown in Figure 3 8 the Negative Slack Threshold defines the point where negative slack turns into very negative slack and the Positive Slack Threshold defines the point where positive slack turns into very positive slack These Slack Thresholds can be
29. ck on the Physical Synthesis icon Figure 2 9 Run Physical Synthesis lt ilinx VIRTESll 2v40les144 6 Frequency 50 MHZ Project Files Design Hierarchy x Project project_2 a E F uart INTERFACE XRTLI EO Impl project_ impl_1 unsa H Clocks enn a H E Constraint Files H E Nets EP E uart_constraints sde a i Instances E E Script Files Physical Report Timing g output Files am b Log File Infos 1 E RTL Schematic oee REINU gt Click to a Technology Schematic Physical synthesis Physical Synthesis hysical Database e Area Report Re Timing Report Re Timing Violation Report xO R Constraints Report en P Liartedf n Preciseview Figure 2 10 Physical Synthesis Input and Output Files working directory precision log lt design_name gt psp lt design_name gt xdb r n tol lt design_name gt edf lt design_name gt _out xdl lt design_name gt ucf lt design_name gt _out dly lt design_name gt xdb Output Files Input Files 2 10 Precision Physical Synthesis Users Manual 2003c Update March 2004 The Integrated Physical Synthesis Flow As shown in the graphics on the left in Figure 2 9 you click on the Precise View tool bar then click on the Physical Synthesis icon to invoke the automated physical synthesis flow Precision runs the global physical synthesis algorithms on the whole design generates a new timing report and brings up the Precise View editor
30. e Boxes Co Primitives Physical Report Timing Physical Synthesis Ee Move ah E 2 OWED 3 4 Precision Physical Synthesis Users Manual 2003c Update 1 March 2004 PreciseView Basics Changing the View with Strokes Zooming Out See dco P fot ee ee ees ogee foe a mo ji E EA m eo a E Physical Report Timing a m J g SS PSS PSS Ps a f pal EL Epe mege pege ee Jeg ej Advanced Report Timing l TI i L p Physical Synthesis TEER ength determi E Move amount of zoom p bagi nes out m _ zE zE a aj S Owe Zooming In Draw a line upper right to lower left aL i LI gee oo H Black Boxes Physical Report Timing deel Price Ly i tj Advanced Report Timing bia Physical Synthesis Le Mowe j mm i 7 ct reo ap Zooming to Fit Draw a line lower right to upper left 3 H E Black Boxes eee aie aall c E Primitives in E g oie yj m a a uj Advanced Report Timing Physical Synthesis ee en ee Pes mal l PAI ko Mowe Sy ms mgo mg geg g a a a e a M G Ll 3 ah S Wap Precision Physical Synthesis Users Manual 2003c Update1 3 5 March 2004 Displaying the Top Ten Timing Paths PreciseView Basics You click the Physical Report Timing icon to generate a current timing report And as shown in Figure 3 3 the top 10 most critical timing paths are displayed with di
31. e following are service marks of Mentor Graphics Corporation A World of Learning ADAPT AppNotes Assess2000 BIST Compiler BIST In Place BIST Ready Concurrent Board Process DirectConnect ECO Immunity EDGE Engineering Design Guide for Excellence Expert2000 FastTrack Consulting IntraStep ISD Creation It s More than Just Tools Knowledge Center Knowledge Sourcing Mentor Graphics Support CD Mentor Graphics SupportBulletin Mentor Graphics SupportCenter Mentor Graphics SupportPax Mentor Graphics SupportNet Email Mentor Graphics SupportNet FTP Mentor Graphics SupportNet Telnet Mentor Graphics We Mean Business MTPI Online Knowledge Center OpenDoor Reinstatement 2000 SiteLine2000 SupportNet KnowlegeBase Support Services BaseLine Support Services ClassLine Support Services Latitudes Support Services OpenLine Support Services PrivateLine Support Services SiteLine SupportServices TechLine Support Services RemoteLine and VR Process Mentor Graphics trademarks may only be used with express written permission from Mentor Graphics Fair use of Mentor Graphics trademarks in advertising and promotion of Mentor Graphics products requires proper acknowledgement Nutcracker is a registered trademark of MKS Mentor sometimes refers to products by using trademarks Marks owned by a third party and such use is not an attempt to indicate Mentor Graphics as a source of that product but is intended to indicate a product from or associ
32. econsexcessivevivnesaiacxeyseestieracavavanenns 2 10 Pima Te PE TI E eeeeeeeaees 3 2 Figure 3 4 The Physical Window Lik Ol is ce cnssstnerireeaccoernrciagreieio attentions 3 3 Figure 3 3 Displaying tie Top Ten er Pa idiictinied iene 3 6 Figure 3 4 Creating a Detailed View of an Instance cecccccecceeceeeneeesesseseeseeeeeceeeeeeees 3 7 i oa Ve Cria O 3 8 Fiure 3 0 Viewing ihe Slack arte ccs senenca ces enececersceanantoeesttoraiponanspetiaioiannieereceeeaisiees 3 9 Ponec e Coone Te Sle Mde ee 3 10 Figure 3 8 Understanding Slack Thresholds ccsssscssssosasvisasenantiiesdnnerinnasbiosinmimueiienseinass 3 11 Figure 39 Displaying Blocks m Different 0 OG sonnets rin ciensriameranconentastemacncetmacmmnsens 3 12 Figure 4 1 Generating a Physical Timing Repott ccccccccccscssseeeceeceeceeeeeeeesesceceseeeeaeeeeees 4 3 Figure 4 2 Select a Starting Point and Examine the Fanouts Slacks ccccceeeeeeeeeeeeeees 4 4 Figure 4 3 Using Sclect Expand gt C riical COVEI ee ee eee 4 5 Figure 4 4 Using Improve Place With Selected 0 0 0 0 ccececceccecceccecceceeaeeeeesseeeeeeeeeeeeeeeees 4 6 a Iy io Shoren De rat eee ees 4 7 Figure 4 6 Replicating a Register ssnnensessssssoeoeressssssscsrresssssssescreessssssesereessssssseeseresssssseess 4 8 Figure 4 7 Advanced Report Timung Oy ian 4 9 Figure 4 8 Setting Advanced Report Timing s seeeeeesesssssssssssssssesseeererereessssssssssssessesseeees 4 10 Foure ee C
33. ected which makes it easy to see what changed 4 8 Precision Physical Synthesis Users Manual 2003c Update 1 March 2004 Interactive Fix Up with PreciseView Advanced Timing Report Advanced Timing Report The Advanced Timing Report option lets you select portions of a design and then create timing reports for this specific area Precision Physical lets you save data objects and settings from one report session to the next allowing you to focus on a particular analysis as you improve the design until the desired results are achieved Setting Advanced Report Timing Options Use the Advanced Report Timing icon from the Precise View tab to select report timing options Figure 4 7 Advanced Report Timing Icon Physical Report Timing Advanced Report Timing Click to display the y Physical Synthesis Advanced Timing Window La Mowe d 2 Improve Place Selectec gt Remove Overlap All ra Save Physical T Zoom In Foom Out i Zoom To Fit ATE I Display Critical Instances Precision Physical Synthesis Users Manual 2003c Update1 4 9 March 2004 Advanced Timing Report Interactive Fix Up with PreciseView The Advanced Report Timing window displays Figure 4 8 Setting Advanced Report Timing Report Timing corel msa_sbi_ssol ssp5 ro_inttel ssp_ctl1 0 Through corel msa_sbi_sspl ssp_rm_t lt l ssp_te_qenl ssp_te1 corel msa_sbi_sspl ssp5 ssp rm tT sso te DATA Path Definition Set Mame OK
34. emplarLogic Expedition Explorer CAECO Layout Explorer CheckMate Explorer Datapath Explorer Lsim Explorer Lsim C Explorer Lsim S Explorer Ltime Explorer Schematic Explorer VHDLsim Expressl O EZwave FabLink Falcon Falcon Framework PastScan FastStart FIRE First Pass Design Success First Pass Success FlexSim PlexTest FOL Plow Definition Language FlowTabs FlowX pert FORMA FormalF mo FPGA Advantage FPG Advisor FPGA BoardLink FPGA Builder FPPGASim FPGA Station FPGA Xchange FrameConnect Fusion Galileo GateStation GateGraph GatePlace GateRoute Gemini GDT GDT Core GDT Designer GDT Developer GENIE Gen Ware Geom Genie HOL2Graphics HDL Architect HDL Architect Station HDL Assistant HDL Author HDL Designer HOL Designer Series HDL Detective HDL Inventor HDL Link HDL Pilot HDL Processor HDOLSim HDLWrite Hierarchial Injection Hierarchy Injection HIC rules Hardware Modeling Library HotPlot Hybrid Designer Hybrid Station HyperLinx HyperSuite IC Design Station IC Designer IC Layout Station IC Station Streamview ICbasic Cblocks Ccheck Ccompact ICdevice Cextract CGen Ceraph CLink IClister ICplan IERT Controller Leompiler Crules Ctrace C verify Cview ICX 1ICX Active ICX Plan ICX Pro IEX Sentry ICX Tau ICX Verify ICX Vision ICX Custom Model ICX Custom Modeling ICX Project Modeling ICX Standard Library IDEA Series Idea Station IKOS In All The Ri
35. es not disclose it or use it except as permitted by this Agreement Except as otherwise permitted for purposes of interoperability as specified by applicable and mandatory local law you shall not reverse assemble reverse compile reverse engineer or in any way derive from Software any source code You may not sublicense assign or otherwise transfer Software this Agreement or the rights under it whether by operation of law or otherwise attempted transfer without Mentor Graphics prior written consent and payment of Mentor Graphics then current applicable transfer charges Any attempted transfer without Mentor Graphics prior written consent shall be a material breach of this Agreement and may at Mentor Graphics option result in the immediate termination of the Agreement and licenses granted under this Agreement The provisions of this section 4 shall survive the termination or expiration of this Agreement LIMITED WARRANTY 5 1 Mentor Graphics warrants that during the warranty period Software when properly installed will substantially conform to the functional specifications set forth in the applicable user manual Mentor Graphics does not warrant that Software will meet your requirements or that operation of Software will be uninterrupted or error free The warranty period is 90 days starting on the 15th day after delivery or upon installation whichever first occurs You must notify Mentor Graphics in writing of any nonconformity wi
36. esign A Design B Viewing critical instances is excellent for finding areas of your fpga that are causing timing problems 3 8 Precision Physical Synthesis Users Manual 2003c Update 1 March 2004 PreciseView Basics Viewing the Slack Graph As shown in Figure 3 6 if you right click unselect Display Timing Paths then select Display Slack Graph from the popup menu all the interconnects with negative slack are displayed The idea is to give you an overall view of the chip topography and where regions of critical placement exists cs 3 6 S eag the Slack Sep EE i ae ee e R T ei M HERH W tEn mim 14 me He F HEH HHRHH Mie aes j HINES ny ta FET eae fee eh TEHE J HH TEH P eit Ei ki HHHHHH HH WON arty an B Veen ASSES eee Bit ir meee a Gii et Soe cei BS Gee T IA oe a et ba eed epee lige EERE IR EEEH HH Ei AEE HMHH Ein Sir miSn siea teH gt E i Bit F i H E H T mi G i a er Fi cara I EIGA Sate pce merce ain Sos Mpapa ree tah J Bj aiii on E Senno iiti Megy I 4 iii ni e mene me pee a se kata a Hean Th Sa ro SG a n pi peee coe ea Ti L T EER H M A als JHH HH i JH HAHH TERTE JE THRE JEH a T E HF 4h HH F Ej A THEH a a Faaa li HE Precision Physical Synthesis Users Manual 2003c Update1 3 9 March 2004 Setting Physical Options PreciseView Basics Setting Physical Options You can quickly change the display options with a right mouse cli
37. evaluation and testing you will send to Mentor Graphics a written evaluation of the Beta Code including its strengths weaknesses and recommended improvements You agree that any written evaluations and all inventions product improvements modifications or developments that Mentor Graphics conceives or made during or subsequent to this Agreement including those based partly or wholly on your feedback will be the exclusive property of Mentor Graphics Mentor Graphics will have exclusive rights title and interest in all such property The provisions of this subsection shall survive termination or expiration of this Agreement 4 RESTRICTIONS ON USE You may copy Software only as reasonably necessary to support the authorized use Each copy must include all notices and legends embedded in Software and affixed to its medium and container as received from Mentor Graphics All copies shall remain the property of Mentor Graphics or its licensors You shall maintain a record of the number and primary location of all copies of Software including copies merged with other software and shall make those records available to Mentor Graphics upon request You shall not make Software available in any form to any person other than employees and contractors excluding Mentor Graphics competitors whose job performance requires access You shall take appropriate action to protect the confidentiality of Software and ensure that any person permitted access to Software do
38. f you can manually improve the layout and routing on critical paths If after physical synthesis you are running the second pass Place and Route with ISE outside of the Precision GUI then you need to set the environment variable XIL_PAR_SKIPAUTOCLOCKPLACEMENT to 1 Otherwise ISE Note may stop execution and complain of clock quadrant violations Precision Physical Synthesis Users Manual 2003c Update1 2 11 March 2004 The Integrated Physical Synthesis Flow Precision Physical Synthesis Users Manual 2003c Update1 March 2004 Chapter 3 PreciseView Basics Effecting editing with Precise View involves performing editing actions in combination with changing the display options so you can focus on various parts of the design layout This Chapter describes the basics of executing the Precise View features and changing the Precise View display options ee a aarti ok eN i ee ee ee ee re ee ee ee ee ee ee eee 3 2 fie ie els ges a ee ee ee ae ee er re ee ee ee Sree eee ee 3 3 Understanding the Left Mouse Button Actions 0 0 ccc ccc eee eee 3 4 Changing the View with Strokes acchndcdhen ended gare ene thee idt een ren ees enous es 3 4 PAS VE OANA ee ee ee ee ee ae ee ee ee EAER are ere eee ee re ee er 3 4 I on ee oes ee E bee aa one ne hoe E 94s eres ees 3 5 sii Al ee eee eee ee eee ee ee ee ee ee ee eee Se eee ee eee eee 3 5 PT 0 a oa oho Gk SENEE E NERNET EEE TEE EEUNA ENESTE 3 5 Displaying the Top Ten Timing Paths nnnnanannn
39. fferent colored lines between instances Solid lines indicate negative slack Dashed lines indicate positive slack Driving registers are colored red You can reduce the number of displayed paths and change color assignments with a right mouse clock then select Set Options gt Physical gt Display Figure 3 3 Displaying the Top Ten Critical Paths o baaba tanben Dz TL DoDo ea Don me E ber canine ame eda aL We abl abl aL ime aL mA 5 Debelbentraltan ban wi Bin I W Bom Won o Driving register in red DNR oon oot eT OO 3 6 Precision Physical Synthesis Users Manual 2003c Update1 March 2004 PreciseView Basics Creating a Detailed View of an Instance Creating a Detailed View of an Instance To generate a detailed view of an instance do the following 1 Press the d key for detail 2 Click on an instance or slice In Figure 3 4 The detailed view of the LUT3 indicates one path with a positive 15ns slack and another with a 15 negative slack The interconnect delay of each route is indicated by the d value on each pin The detailed view can be docked and then takes the place of the global view Figure 3 4 Creating a Detailed View of an Instance Desion Unplaced Rems Stoke CdSe El Primitives qe Sa a T T T E T moden ded a 1 Press d and click A Ul egies he modgen i Pine gt on Instance Bane DF modgen Lat a o DOR _ iib ji Dr ix38
40. file and UCF file and will be used as a Precision input file in Step 3 2 6 Precision Physical Synthesis Users Manual 2003c Update 1 March 2004 The Integrated Physical Synthesis Flow Step 3 Run First Pass Place amp Route Figure 2 7 Running Place and Route a n amp miline YIR TE 2v40cs144 gt 6 Frequency 50 MHZ Froject Files Design Hierarchy a Project project_2 FUE uart INTERFACE XR _ Click to Display ISE t_2_impl_1 unsaveq 1 i Clocks Floorplanner 3 l Hla Ports aa i Constraint Files I Nets E uart_constraints sde J E Instances 2 Click t seS Click to Run Place amp Rone 8 Files mpg Log File fB RTL Schematic Technology Schematic Re Area Report R Timing Report RA Timing violation Report R Constraints Report Eu artedf AU Le E LA LT aly Figure 2 8 ISE Input and Output Files working directory recision lo 2 impl_1 lt design_name gt psp lt design_name gt edf run tel lt design_name gt _out ncd lt design_name gt ucf lt design_name gt _out xdl lt design_name gt xdb lt design_name gt _out dly Output Files Input Files Precision Physical Synthesis Users Manual 2003c Update 2 March 2004 The Integrated Physical Synthesis Flow File Extension Place amp Route Output File Descriptions Implemented design in Xilinx proprietary binary format Implemented design in Xilinx xdl netlist format _o
41. ftware and retain all rights not expressly granted by this Agreement Mentor Graphics grants to you subject to payment of appropriate license fees a nontransferable nonexclusive license to use Software solely a in machine readable object code form b for your internal business purposes and c on the computer hardware or at the site for which an applicable license fee is paid or as authorized by Mentor Graphics A site is restricted to a one half mile 800 meter radius Mentor Graphics standard policies and programs which vary depending on Software license fees paid or service plan purchased apply to the following and are subject to change a relocation of Software b use of Software which may be limited for example to execution of a single session by a single user on the authorized hardware or for a restricted period of time such limitations may be communicated and technically implemented through the use of authorization codes or similar devices c support services provided including eligibility to receive telephone support updates modifications and revisions Current standard policies and programs are available upon request 2 ESD SOFTWARE If you purchased a license to use embedded software development ESD Software Mentor Graphics grants to you a nontransferable nonexclusive license to reproduce and distribute executable files created using ESD compilers including the ESD run time libraries distributed with ESD C
42. g a key a keyboard shortcut the most efficient method to execute editing commands The following list summarizes the available keyboard shortcuts report timing Shitt T place dere I swap W remove overlap o view details ike b view area Tap zoom fit a zoom in mae zoom out Ohicr too move m redo ad ell al select eo unselect all Shitte rs undo a cancel asc Moving an Instance The Keyboard Shortcuts do not currently work properly on Sun Solaris Note 1 Click on an Instance or instance group like a carry chain Object turns white 2 Press the m key for move 3 Click an un occupied area of like kind in the template 4 Ifa yellow box appears press o to remove the overlap 5 Press Shift s to unselect the selected object s 6 Press Shift t to report the new timing Precision Physical Synthesis Users Manual 2003c Update 1 4 15 March 2004 Using Keyboard Shortcuts for Simple Edits Interactive Fix Up with PreciseView 7 Press u to Undo if you don t like the placement Swapping an Instance with Another Instance You can use the following procedure to swap a critical instance with a non critical instance l 2 Click on an Instance like a critical path register Object turns white Press the w key for swap Click on a non critical path register Press Shift s to unselect the selected object Press t to report the new timing Press
43. ght Places INFOR M IFX Inexia Innovate PCB Innoveda Integrated Product Development Integra Station Integration Tool Kit IT INTELLITEST Interactive LAYOUT Interconnect Table Interface Based Design IB Inventra Inventra IPX Inventra Soft Cores IP Engine IF Evaluation Kit IP Factory IP PCB IP QuickUse PSim 15 Analyzer 15 Floorplanner 1S MultiBoard IS Optimizer IS Synthesizer iSolve IV locity Language Neutral Licensing Latium LAYOUT LNL LBIST LBISTArchitect Le Lcore Leaf Cell Toolkit Led LED Layout Leonardo Leonardolnsight LeonardoSpectrum Librarian Library Builder LineSim Logic Analyzer on a Chip Logic Builder Logical Cable LogicLib logio Lsim Lsim DSM Lsim Gate LsimNet Lsim Power Analyst Lsim Review Lsim Switch Lsim XL Mach PA Mach TA Manu factureView Manufacturing Advisor Manufacturing Cable MaskCompose MaskKPE MBIST MBISTArchitect MBIST Full Speed MBISTFlex MBIST In Place MBIST Manager MCM Designer MCM Station MOV MeeaFunction Memory Builder Memory Builder Conductor Memory Builder Mozart Memory Designer Memory Model Builder Mentor Mentor Graphics MicroPlan MicroRoute Microtec Mixed Signal Pro ModelEditor ModelSim ModelSim LN ModelSim VHDL ModelSim VLOG ModelSim SE ModelStation Model Technology Model Viewer Model ViewerPlus MODGEN Monet Mslab Msview MS Analyzer MSArchitect MS Ex press MSIMON Nanokernal NetCheck NETED Nucleus
44. gravity Precision Physical Synthesis Users Manual 2003c Update1 4 7 March 2004 Step 8 Look for Registers that Can Be Replicated Interactive Fix Up with Step 8 Look for Registers that Can Be Replicated If one fanout is critical move the register towards the LUT on the path If multiple fanouts are critical it may not be possible to move the register towards the LUT without making other paths worse In this case you should try register replication Note that for register replication to be successful there needs to be enough positive slack on the input side to allow the new register s to be moved closer to the LUTs directly connected to its their outputs Also there must be negative output slack and multiple fanouts This is represented in Figure 4 6 The steps are as follows 1 Select the register to replicate 2 Choose Replicate from the popup menu The tool will automatically add registers as appropriate 3 Examine the replicated registers which will now be selected Figure 4 6 Replicating a Register Register LUT Negative Slack Positve Slack After Register Replication Replicated Registers placed close to LUTS The Replicate register function can be undone so if you are unhappy with the result it is easy to back out If ALL of the rules are not met the command will fail and a message displays Otherwise the transcript will list the name of the new registers and all replicated registers will be sel
45. implementation of your design 4 2 Precision Physical Synthesis Users Manual 2003c Update March 2004 Interactive Fix Up with PreciseView Step 2 Do a Physical Report Timing Step 2 Do a Physical Report Timing Scan the report to locate any big net delays as shown in Figure 4 1 For information on setting up your reports see Advanced Timing Report Figure 4 1 Generating a Physical Timing Report Critical path 2 path slack 1 46 SOURCE CLOCK name vVNTIOW period 24 000000 Times are relative to the lst rising edge DEST CLOCK name VCLE period 12 000000 Times are relative to the nd rising edge NH AME GATE DELAY El reg ECR internal 5 c FDCE El reg ECR internal 5 0 FDCE 0 43 ECR dup0 5 net CONFIG ix1 6 1 LUT 4 CONFIG ix1 76 0 LUT 4 scan report for large gt 0 5ns net delays ZETT z Ie i enaeaoow mos F This large net delay is clearly due to the distance between the register and the next LUT on the path E1_reqg ECR_internal 5 CONFIGI x126 Precision Physical Synthesis Users Manual 2003c Update1 March 2004 4 3 Step 3 Select a Register Start Point and Examine the Fanout and Slacks Step 3 Select a Register Start Point and Examine the Fanout and Slacks Figure 4 2 Select a Starting Point and Examine the Fanouts Slacks By hovering over the register with the mouse or by using the view details pop up check to see the slack to the worst input pin
46. ket can teat 1 2 EEIE o PEENE E E EA E EA ATE TEITTE AAE AET tm AE yee AE A 1 2 OUI A EV VAG E rnn 1 2 R T E e aE E E NE 1 3 nvoking the Precision Physical Synthesis GU isenronuririnse 1 3 Invoking Precision Physical Synthesis from a Shell s ssnnsennessssssosereessssssesseressssssseeereessss 1 4 Chapter 2 The Integrated Physical Synthesis Flow cccccccccssssssseeeccceeccaaeesseeecceeeeeeaueeseesceeeeeaaas 2 1 te ee Daia i EE E E EE E nearer EE ETT 2 2 ee T a A A D ea S eerenneee 2 4 Enmon Rented Conta eiii a eria 2 5 Use UCF Timing Constraints from the Input UCF File svscccsccssocceicssscvssesnsesesenasertinetecsnerssenen 2 5 CEL ON E E 2 E 2 6 aep sab Ruw Ta P Pee e ROE EEEE i 2 7 Step 4 Run the Automated Physical Synthesis FIOW seseesessseseneessesssessreessssssssssrersrsssses 2 10 Step 3 Rerun Place amp Route and Check Ta cist extsinarcrcisicoriiericemestaaceiesmadticueiaens 2 11 Chapter 3 g a NIE E a E E E A 3 1 Jai Stir Mg ge clk cg IOT senirariitiiri aT AE 3 2 Te ey EG aaa cea ceenssasiceseoeieasy cei een nance 3 3 Understanding the Left Mouse Button Actions sssssosseeessesssssssesesrsssssssecerresssssseceerersssssssese 3 4 ee ae a E a 3 4 POON MS 28 A T E AEA 3 4 FAAS A O Vt EA PE E AEE EAE E EEEE E EAE AET ETET 3 5 E TAN a EEE NEEE E E EEE E E E EEA EEEE NE OA 3 5 E ae Bl E a EE A E E E E AA E E AAA 3 5 Dispio mne e Top To Tine F ssn 3 6 Greann a Denied View oran ENOS orisirisi Er A ae
47. l Cover Threshold is zero However if you change the threshold to 1 or 2 the number of positive slack instances selected on the perimeter will increase and the placement function has more freedom to move instances Figure 4 3 Using rane JEBE gt Critical Cover Poo Con Ju nl padi oe el wu Eon O Aal iol i anol anol wD E padi nt fal Cha Choo I Wa Lional hid EEN ooo D Cod Cholli II Juhl a ee bad b oa Wn IE WO sels mt p noL Ei B tu UiEonL JL liol Aano Aea w Djia w Ofo M Ufon tuin Il TnL oooL Il muje I racbatl ps Pagba bi nO ean eee Waal Cool nL nad Jen Ufo Con i r ool I Select right click Select TEIE e Expand gt Critical Cover Pool I a w Sa a F sool EHT Dool Il kaL bad ran am on oo on oe LUFRE a T o O o o Oo Demet Jujo boo a Se Ulo Con Ta Wa a Ma A eee Precision Physical Synthesis Users Manual 2003c Update1 March 2004 F ET Mea HTL 4 5 Step 5 Use the Improve Place Algorithm Interactive Fix Up with PreciseView Step 5 Use the Improve Place Algorithm Right click and select Improve Place gt With Selected This algorithm uses maximum effort to improve the placement of selected instances guie 4 oe Place a JL E Pe Emi Emi nn ea i ol ooo n ae Wa Ufon Pool Coo poa e Ge ee Salect muL Pool Unselect All ui onal In o Jon Unselect By Area An onal
48. l Cover nc ce ox dae eee neeKe eens ChE ER ERE ERS 4 5 Step 5 Use the Improve Place Algorithm 0 0 ccc cee ees 4 6 Step 6 Use Report Timing to See the Results 2 20 0 cee eee 4 6 Step 7 Look for Instances that Can Be Moved to Shorten Interconnects 4 7 Step 8 Look for Registers that Can Be Replicated 0 0 cece eee 4 8 Advanced Timing Keport srrissicserienrtart hee SEEKS EH EERE OD ET CAGE EES 4 9 ee Ee ob beens eo ne deb aae denen aee casas ban densusoecesactes 4 15 Swapping an Instance with Another Instance 0 0 0 cece eee eee 4 16 Placing an Unplaced Instance 4 4 ek cca eed oe bebe hS GREE DEER ERG EO REE 4 16 Precision Physical Synthesis Users Manual 2003c Update 4 1 March 2004 Step 1 Invoke PreciseView Interactive Fix Up with PreciseView Step 1 Invoke PreciseView Once Place and Route is completed and the placement files are loaded the PreciseView bottom pallet becomes available Placement files are automatically loaded when Place and Route is run within the Precision tool If Place and Route is run in the standalone vendor tool it is necessary to load the data manually These files include 1 The Precision RTL generated XDB file Or a synthesis generated EDF and SDC file 2 The ISE generated NCD file 3 The pass ISE generated DLY file The Precise View button ShowPicture brings up Precise View which allows interactive editing of the physical
49. m the popup menu Set Options gt Colors dialog box as shown Notice that some blocks are not tightly placed but spread out This can affect timing Figure 3 9 Displaying Blocks in Different Colors Pg Mentor Graphics Precision Physical Synthesis Physical _ fg Fie Edt View Tools Windew Help osas s ele e e fale e H u Design Design Unplaced RPMs Design Analysis lL Filter_6tap RTL Physical Pod Instances H A Flip Flops ERA Operators pa modgen_adc Physical Synthesis RD modgen_ade AS modgen_ade Bie E modgen_adc A modgen_ade Move H A 10 Pads ch A Gla iia Ly Place Timing Path Timing Path 4 Timing Fath 5 Block Colors Timing Path amp Default Color Timing Path 7 Timing Path 8 Display Instances Save Physical al l Pa Design Center Pa Physical 3 12 Precision Physical Synthesis Users Manual 2003c Update 1 March 2004 Chapter 4 Interactive Fix Up with PreciseView Introduction If your design does not achieve timing closure after running the automated physical synthesis flow you may need to do some interactive fix up This Chapter describes a general methodology for approaching an interactive fix up editing session et Ee I a a ke ee ehh ede Kee oka sedans eden 4 2 Step 2 Do a Physical Report Tine 64444546564 6444046064444045 004480 0500 458 4 3 Step 3 Select a Register Start Point and Examine the Fanout and Slacks 4 4 Step 4 Use Select Expand gt Critica
50. marks of Mentor Graphics Corporation 3D Desion ABIST Arithmetic BIST Accelerated Technology AccuPARTner AccuParts AccuSim ADEPT ADVance ADVance MS ADVanceRFIC AMPLE Analog Analyst Analog Station Ares ARTerid ArtRouter ARTshape ASICPlan ASIC Vector Interfaces Aspire AuthEx press AutoActive AutoCells AutoDissolve AutoF ilter AutoPlow AutoLib AutoLinear AutoLink AutoLogic AutoLogic BLOCKS AutoLogic FPGA AutoLogic VHDL AutomotiveLib AutoPAR AutoTherm AutoTherm Duo Auto ThermMCM AutoView Autowire Station AXEL AXEL Symbol Genie BISTArchitect BLAST Blaze BlazeRouter Board Station Consumer Board Architect Board Designer Board Layout Board Process Library BoardSim Board Station BOLD Administrator BOLDBrow ser BOLD Composer BSDArchitect BSPBuilder Buy on Demand Cable Analyzer Cable Station CAECO Designer CAEFOR M Calibre Calibre DRC Calibre DRC H Calibre DESIGNrev Calibre CB Calibre PFRACTUREh Calibre FRACTURE Calibre PFRACTUREm Calibre FRACTURE Calibre PFRACTURER Calibre LITHOview Calibre LVS H Calibre MTflex Calibre OPCsbar Calibre OPCpro Calibre ORC Calibre PRINTimage Calibre PSMegate Calibre PSMcheck Calibre TDope Calibre WOR Kbench Calibre RVE Calibre MGC Calibre Interactive Calibre MDPview Calibre xRC CAM Station Capital Capital Analysis Capital Archive Capital Bridges Capital Documents Capital H Capital H the complete desktop engineer Capi
51. ming icon on the Precise View Pane or enter the report_timing command in the Transcript window to display a timing report An excerpt from a timing report is shown below it it it it it it it it it it it it it it it it it it it it it it it it it it it it it it Figure 4 11 Timing Report CTE report tining Physical wire delay calculation is used in this process CIE Critical Path Report CTE get true worst setup path Critical path 1 path slack 10 76 SOURCE CLOCK name write period 20 000000 Times are relative to the lst rising edge DEST CLOCK name write period 20 000000 Times are relative to the lst falling edge NAME GATE DELAY data 6 port data_bdbuf 6 IO IOBUF LVTTL SLOW 12 data_bdbuf 6 O IOBUF LVTTL SLOW 12 lat Exhold 6 7D ID Initial edge separation Source clock delay Dest clock delay Edge separation Setup CONSE raime Data required time Data arrival time Slack ARRIVAL DIR O O O O lt 00 s00 s mck Precision Physical Synthesis Users Manual 2003c Update1 March 2004 Interactive Fix Up with PreciseView Using Keyboard Shortcuts for Simple Edits Using Keyboard Shortcuts for Simple Edits The Shortcuts You can right click on the mouse to bring up a popup menu to accomplish many of the actions described in this section However many designers find that a combination of left mouse clicks to select instances and pressin
52. nment subcontractor is subject to the restrictions set forth in the license agreement under which Software was obtained pursuant to DFARS 227 7202 3 a or as set forth in subparagraphs c 1 and 2 of the Commercial Computer Software Restricted Rights clause at FAR 52 227 19 as applicable Contractor manufacturer is Mentor Graphics Corporation 8005 SW Boeckman Road Wilsonville Oregon 97070 7777 USA THIRD PARTY BENEFICIARY For any Software under this Agreement licensed by Mentor Graphics from Microsoft or other licensors Microsoft or the applicable licensor is a third party beneficiary of this Agreement with the right to enforce the obligations set forth in this Agreement AUDIT RIGHTS With reasonable prior notice Mentor Graphics shall have the right to audit during your normal business hours all records and accounts as may contain information regarding your compliance with the terms of this Agreement Mentor Graphics shall keep in confidence all information gained as a result of any audit Mentor Graphics shall only use or disclose such information as necessary to enforce its rights under this Agreement CONTROLLING LAW AND JURISDICTION THIS AGREEMENT SHALL BE GOVERNED BY AND CONSTRUED UNDER THE LAWS OF OREGON USA IF YOU ARE LOCATED IN NORTH OR SOUTH AMERICA AND THE LAWS OF IRELAND IF YOU ARE LOCATED OUTSIDE OF NORTH AND SOUTH AMERICA All disputes arising out of or in relation to this Agreement shall be submitted to the exclusive jurisdic
53. nnn nanne ennnen 3 6 Creating a Detailed View of an Instance cs cco de Gee be esac de eee eed dese 64544 OS 3 7 go Selo Bs e E a E E E e ee ee ee ee ee ee eer ree 3 8 Viewing the Slack Graph on oko 5 oe es hE ee eee 3 9 ee E r e nek ee eee eee Aes AAR EG Bd bah eees 3 10 Understanding Selection Modes 2 40s4ios eeun sense wee es eeeeee tenses nuns 3 10 Changing the Slack Thresholds 4 5 oe ex eee ee hee Renee eK ERR ee EE ORE RE HOE 3 11 Changing the Critical Cover Threshold i464 2466 nsec es dered eee ee een vr enden es 3 11 Changing the Level of Undo Redo 245344504 8hedens oho 0v ke ks ena rrari iaa 3 11 Displaying Blocks in Different Colors nes cc ected tees steer bere seee eens eeaoe 3 12 Precision Physical Synthesis Users Manual 2003c Update1 3 1 March 2004 Invoking PreciseView PreciseView Basics Invoking PreciseView The Precise View Editor allows you to do detailed editing of instance placement using a variety of functions As shown below you invoke Precise View by clicking on the Precise View icon in the Precise View Bar or you can double click on the Physical Database icon in the Project Browser Figure 3 1 Invoking PreciseView Xilinx YIRTEX I 2v40les1 44 6 Frequency 50 MHZ Froject Files Design Hierarchy AS Project project a Ea uart INTERFACE_ FRTL AMS Impl project_2_impl_1 unsa B m Clocks H J Input Files H Ports a Constraint Files H E Nets sie 0 uart_constraints sde a E Instances E Script Files
54. ntains the interconnect delays from Place and Route You must set the environment variable PAR_BELDLYRPT to 1 true in order to make sure that the DLY file is generated 1 2 Precision Physical Synthesis Users Manual 2003c Update March 2004 Introduction Invoking the Tool Invoking the Tool Invoking the Precision Physical Synthesis GUI You invoke the Precision Physical Synthesis GUI by entering the precision command with the physical option from a Windows shell or a Unix shell Use the physical_sa option to invoke Precision Physical SA Stand Alone GUI When you install the product in a Windows environment three Shortcut icons are placed on the Desktop One for Precision RTL Synthesis one for Precision Physical and one for Precision Physical SA The difference between each icon is the command option specified at the end of the invocation line As shown in Figure 1 1 the physical option is added to the executable call for Precision Physical Synthesis If you replace the physical option switch with rtl only the RTL Synthesis features are invoked Similarly the physical_sa option invokes Precision with only Precision Physical functionality All RTL Synthesis features will be unavailable Figure 1 1 Setting Up the Precision RTL Synthesis Shortcut Precision Physica S jl General Shortcut Security Add the physical switch to invoke Precision Physical Synthesis Target type Application Target location bin Ta
55. r SpeedGate SpeedGate DSV Speed Wave SOS Initiative Source Explorer SpiceNet SST Velocity Standard Power Model Format SP MP Structure Recovery Super C Super IC Station Supermax ECAD Symbol Genie Symbolscript SymGen SYMED SynthesisWizard System Architect System Design Station System Modeling Blocks Systems on Board Initiative SystemVision TargetManager Tau TeamPCB TeraCell TeraPlace TeraPl ace GF TechNotes TestKompress Test Station Test Structure Builder The Ultimate Site For HDL Simulation The Ultimate Tool For HDL Simulation TimeCloser Timing Builder TNX ToolBuilder Tran sable Truetiming Utopia Vlog V Express V Net VHDLnet VWHDLwrite Verinex View Base ViewDraw ViewCreator ViewLogic ViewSim ViewWare Viking Virtual Library VirtuaLogic Virtual Target Virtual Test Manager TOP Virtual Wire Voyager VETA VETA me VRTXoc VRTXsa VRTX32 VStation VStation 30M Waveform DataPort We Make TMN Easy Wiz o matic WorkX pert xCalibre xCalibrate Xconfig AlibCreator XMLZ2AXEL Xpert Apert API XperBuilder Apert Dialogs Xpert Profiler XRAY XRAY MasterWorks XSH Xtrace Xtrace Daemon A trace Protocol Xtreme Design Client Xtreme Design Session AtremePCB ATK Zeelan Zero Tolerance Verification and Libs are trademarks or registered trademarks of Mentor Graphics Corporation or its affiliated companies in the United States and other countries Th
56. rget Istonshgc home Sbinprecision Qui exe physical M Burnin separate memon space F Enter path for Working Directory Start in F my designs Shortcut key None Run Nomalwindow Comment PO Find T arget Change Icon OF Cancel Apply Precision Physical Synthesis Users Manual 2003c Update 1 3 March 2004 Invoking the Tool Introduction Invoking Precision Physical Synthesis from a Shell You can invoke Precision Physical and Physical SA in non GUI mode by using the following commands respectively precision shell physical precision shell physical_sa In non GUI mode you can source Tcl scripts or you can interactively enter commands from the shell prompt A typical command line entry might be the following where a Tcl command file is specified to set constraints and guide the tool through the synthesis process 0 precision shell physical file dofile tcl The precision command and its optional switches is fully documented in the section titled Commands in the Precision Synthesis Reference Manual When you invoke the tool in non GUI mode the shell option should be specified first in the options list as shown above Note 1 4 Precision Physical Synthesis Users Manual 2003c Update March 2004 Chapter 2 The Integrated Physical Synthesis Flow The Integrated Physical Synthesis flow assumes that you are using Precision Physical Synthesis to synthesize your HDL design
57. roate a Paih Donmithion SObosrerierrirnni EEEE E 4 11 Figure 4 10 Set Report Timing Options scsscsaccasscesscesirscnceasiestinssstocceessananensaict nasa tenenasiasiiacees 4 13 Poor il Time REDI oarra RE 4 14 Precision Physical Synthesis Users Manual 2003c Update1 March 2004 Table of Contents List of Tables Precision Physical Synthesis Users Manual 2003c Update March 2004 vi About This Manual Precision Physical Synthesis is a comprehensive tool suite providing design capture in the form of VHDL and Verilog entry advanced register transfer level logic synthesis constraint based optimization state of the art timing analysis schematic viewing encapsulated place and route advanced physical synthesis algorithms and a physical editor This manual describes the automated physical synthesis flow using the Precision Physical Synthesis Graphical User Interface GUI and provides information on how to use Precise View to manually edit the physical placement For the Latest Information From the time this document is published to the present new information may have become available Please refer to the web based documents at the following address for the most current information http www mentor com precision download Precision Physical Synthesis Users Manual 2003c Update 1 vii March 2004 For the Latest Information About This Manual vill Precision Physical Synthesis Users Manual 2003c Update 1 March 2004 Chapter 1
58. tal Harness Capital Harness Systems Capital Insight Capital Integration Capital Manager Capital Manufacture Capital Support Capital Systems Capture Station Celaro Cell Builder Cell Station CellPloor CellGen CellGraph Cell Place CellPower CellRoute Centricity CEOC Chase X Check Mate CHEOS Chip Station ChipGraph ChipLister Circuit PathFinder Co Veri fication Environment COLsim Code lab CommLib CommLib BMC Concurrent Design Environment Connectivity Dataport Continuum Continuum Power Analyst Core Alliance CoreBIST Core Builder Core Factory CTI ntegrator DataCentric Model DataFusion Datapath Data Solvent BUG Debug Detective DC Analyzer Deltacore DeltaV Design Architect Design Architect 1C Design Architect Elite Design Capture Design Exchange Design Manager Design Station DesignBook Design View DesktopASIC Destination PCB Destiny RE DPTAdvisor DPTArchitect DFTInsight DMS Xchange DxAnalog DxDataBook DxDesigner DxLibraryStudio DxParts Dx PDF Dx ViewOnly Dx VariantManager Direct System Verification DSW Documentation Station DSS Decision Support System Dx Analog Dx DataManager Dx Designer Dx Enterprise for Agile DxMatrix Dx ViewDraw ESLcable EDA Tech ForumEDT Eldo EldoNet ePartners EPartsi EPlanner EProduct Designer EProduct Services Empowering Solutions Engineer s Desktop EngineerView Enterprise Librarian ENRead EN Write EsSim Exemplar Ex
59. thin the warranty period This warranty shall not be valid if Software has been subject to misuse unauthorized modification or installation MENTOR GRAPHICS ENTIRE LIABILITY AND YOUR EXCLUSIVE REMEDY SHALL BE AT MENTOR GRAPHICS OPTION EITHER A REFUND OF THE PRICE PAID UPON RETURN OF SOFTWARE TO MENTOR GRAPHICS OR B MODIFICATION OR REPLACEMENT OF SOFTWARE THAT DOES NOT MEET THIS LIMITED WARRANTY PROVIDED YOU HAVE OTHERWISE COMPLIED WITH THIS AGREEMENT MENTOR GRAPHICS MAKES NO WARRANTIES WITH RESPECT TO A SERVICES B SOFTWARE WHICH IS LICENSED TO YOU FOR A LIMITED TERM OR LICENSED AT NO COST OR C EXPERIMENTAL BETA CODE ALL OF WHICH ARE PROVIDED AS IS 5 2 THE WARRANTIES SET FORTH IN THIS SECTION 5 ARE EXCLUSIVE NEITHER MENTOR GRAPHICS NOR ITS LICENSORS MAKE ANY OTHER WARRANTIES EXPRESS IMPLIED OR STATUTORY WITH RESPECT TO SOFTWARE OR OTHER MATERIAL PROVIDED UNDER THIS AGREEMENT MENTOR GRAPHICS AND ITS LICENSORS SPECIFICALLY DISCLAIM ALL IMPLIED WARRANTIES OF MERCHANTABILITY AND FITNESS FOR A PARTICULAR PURPOSE AND NON INFRINGEMENT OF INTELLECTUAL PROPERTY LIMITATION OF LIABILITY EXCEPT WHERE THIS EXCLUSION OR RESTRICTION OF LIABILITY WOULD BE VOID OR INEFFECTIVE UNDER APPLICABLE LAW IN NO EVENT SHALL MENTOR GRAPHICS OR ITS LICENSORS BE LIABLE FOR INDIRECT SPECIAL INCIDENTAL OR CONSEQUENTIAL DAMAGES INCLUDING LOST PROFITS OR SAVINGS WHETHER BASED ON CONTRACT TORT OR ANY OTHER LEGAL THEORY EVEN IF MENTOR GRAPHICS
60. tical Cover 4 5 precision shell command 1 4 Precision Synthesis F Invoking from a shell 1 4 Fanout 4 4 Invoking the GUI 1 3 For the Latest Information 1 v1i Windows Shortcut 1 3 Pre selection Mode 3 10 I Project 2 2 Improve Place Algorithm 4 6 Project Management Instance creating a new project 2 2 Creating a Detailed View 3 7 overview 2 2 Viewing Critical Instances 3 8 ISE Input and Output Files 2 7 R Redo 3 11 L Registers that Can Be Replicated 4 8 Left Mouse Button 3 4 Relaxed Constraints 2 5 Report Timing 4 6 Precision Physical Synthesis Users Manual 2003c Update Index 1 March 2004 Index Index cont RTL Synthesis Output 2 4 W S Working Directory o Setting in Shortcut 1 3 Schematic Viewing object selection 3 4 X select area 3 4 Xilinx unselect object 3 4 Setting Environment Variables 1 2 zooming in 3 5 zooming out 3 5 zooming to area 3 4 Synthesize your design 2 4 Timing Report 2 9 zooming to fit 3 5 Z SDC Constraint Files 2 5 Zoom 3 4 Select a Register 4 4 Zoom In 3 5 Selection Modes 3 10 Zoom Out 3 5 Set Report Timing Options 4 12 Zoom to an Area 3 4 Setting Up the Environment 1 2 Zoom to Fit 3 5 Shorten Interconnects 4 7 Slack Graph 3 9 Slack Thresholds 3 11 Slacks 4 4 Start Point 4 4 Strokes 3 4 Synthesize a Design 2 4 T Threshold Changing the Critical Cover Threshold 3 11 Changing the Slack Threshold 3 11 Timing Paths 3 6 U UCF File
61. tion b 10 11 12 13 14 15 16 17 provide Mentor Graphics all reasonable information and assistance to defend or settle the action and c grant Mentor Graphics sole authority and control of the defense or settlement of the action 9 2 If an infringement claim is made Mentor Graphics may at its option and expense a replace or modify Software so that it becomes noninfringing b procure for you the right to continue using Software or c require the return of Software and refund to you any license fee paid less a reasonable allowance for use 9 3 Mentor Graphics has no liability to you if infringement is based upon a the combination of Software with any product not furnished by Mentor Graphics b the modification of Software other than by Mentor Graphics c the use of other than a current unaltered release of Software d the use of Software as part of an infringing process e a product that you make use or sell f any Beta Code contained in Software g any Software provided by Mentor Graphics licensors who do not provide such indemnification to Mentor Graphics customers or h infringement by you that is deemed willful In the case of h you shall reimburse Mentor Graphics for its attorney fees and other costs related to the action upon a final judgment 9 4 THIS SECTION 9 STATES THE ENTIRE LIABILITY OF MENTOR GRAPHICS AND ITS LICENSORS AND YOUR SOLE AND EXCLUSIVE REMEDY WITH RESPECT TO ANY
62. tion of Dublin Ireland when the laws of Ireland apply or Wilsonville Oregon when the laws of Oregon apply This section shall not restrict Mentor Graphics right to bring an action against you in the jurisdiction where your place of business is located SEVERABILITY If any provision of this Agreement is held by a court of competent jurisdiction to be void invalid unenforceable or illegal such provision shall be severed from this Agreement and the remaining provisions will remain in full force and effect MISCELLANEOUS This Agreement contains the parties entire understanding relating to its subject matter and supersedes all prior or contemporaneous agreements including but not limited to any purchase order terms and conditions except valid license agreements related to the subject matter of this Agreement which are physically signed by you and an authorized agent of Mentor Graphics either referenced in the purchase order or otherwise governing this subject matter This Agreement may only be modified in writing by authorized representatives of the parties Waiver of terms or excuse of breach must be in writing and shall not constitute subsequent consent waiver or excuse The prevailing party in any legal action regarding the subject matter of this Agreement shall be entitled to recover in addition to other relief reasonable attorneys fees and expenses Rev 020826 Part Number 214231 Trademark Information The following are trade
63. tor in total delay Replication is a technique that is especially effective in breaking up long interconnects at the physical level During RTL synthesis replication is performed at the logic level based on wire load models to honor fanout restrictions The physical optimization flow builds on the RTL synthesis results by using more accurate data from the physical layout In addition the replication algorithms understand what resources are available in the target device and makes intelligent choices as to what logic or registers to replicate and where to place these replicated registers Re synthesis is a technique that uses the physical data to make local optimizations to critical paths Re synthesis uses operations like logic restructuring re clustering substitution and or possible elimination of gates and wires to improve timing and routability Precision Physical Precision Physical Synthesis Users Manual 2003c Update 1 1 March 2004 Setting Up the Environment Introduction Synthesis works with incremental placement to understand what resources are available in the target device and how the changes impact routing congestion and delays Setting Up the Environment Installation Refer to the Precision Synthesis Installation Manual for details about installing Precision Physical Synthesis Setting Xilinx Environment Variables PAR_BELDLYRPT 1 Precision Physical Synthesis requires that Xilinx ISE tools generate a DLY file that co
64. ut dly A file containing the true interconnect delays The graphics on the left in Figure 2 7 show how you can invoke the Xilinx ISE tools from the Precision Physical GUI ISE uses the EDF and the UCF file as input Also if you compiled the HDL design with a Xilinx UCF file in the Input File List that file will be passed through to the implementation directory and will be picked up by ISE during the implementation When the implementation is complete the NCD file and the DLY file are placed back in the implementation directory with the characters _out appended to the design name The NCD file is your implemented design in Xilinx proprietary binary format The DLY file contains the interconnect delays The XDL file contains the placement data and is automatically created by Precision using the Xilinx xd utility The Automatic Building of the Physical Database Precision uses the input files XDB XDL and DLY to automatically create an in memory physical database When the creation process is finished the Physical Database icon appears in the Project browser as shown below ee E E Constraint Files Ei Ports ff simplemath_constraints sde wo Inputs E Script Files Phe ee a Output Files Indicates that Precision is td Log File Warnings 5 Infos 16 ready for Physical Synthesis B RTL Schematic P Technology Schematic Design Planner Physical Database REPT Area Report Rri Timing Report i Suis Rri
65. with critical paths displayed The results are then saved to the current implementation directory The output files contain the original design data as well as the new physical placement information New EDF UCF and XDB files overwrite the original output files by the same name Other files of interest are the PDB file which is the Precise View placement database and the FDB file which is the Precise View floorplanning database These files are saved so that you can restore the state the Precise View editing session at a later time if you wish At this point you should check the Physical Timing Report for positive slack on the most critical path If you find positive slack you are ready to run a 2nd pass Place amp Route If you find negative slack you can proceed to use the Precise View editor to locally optimization timing paths and or manual move instances to improve the timing The Precise View timing engine is interactive so you can generate a new timing report at any time to check for improved timing Step 5 Re run Place amp Route and Check Timing After you Save the results of any additional editing you may have done you click the Place amp Route icon on the ISE Design Bar and re implement the design Next check the Xilinx Timing Report to see if the timing improved You may repeat the Physical Synthesis flow as many times as you like to see if the timing can be improved Or you can move to the Interactive Fix Up Environment to see i
66. without Conversion 2 6 UCF Timing Constraints 2 5 Undo 3 11 y Vendor Constraints 2 5 Index 2 Precision Physical Synthesis Users Manual 2003c Update 1 March 2004 End User License Agreement IMPORTANT USE OF THIS SOFTWARE IS SUBJECT TO LICENSE RESTRICTIONS CAREFULLY READ THIS LICENSE AGREEMENT BEFORE USING THE SOFTWARE This license is a legal Agreement concerning the use of Software between you the end user either individually or as an authorized representative of the company acquiring the license and Mentor Graphics Corporation and Mentor Graphics Ireland Limited acting directly or through their subsidiaries or authorized distributors collectively Mentor Graphics USE OF SOFTWARE INDICATES YOUR COMPLETE AND UNCONDITIONAL ACCEPTANCE OF THE TERMS AND CONDITIONS SET FORTH IN THIS AGREEMENT If you do not agree to these terms and conditions promptly return or if received electronically certify destruction of Software and all accompanying items within five days after receipt of Software and receive a full refund of any license fee paid END USER LICENSE AGREEMENT 1 GRANT OF LICENSE The software programs you are installing downloading or have acquired with this Agreement including any updates modifications revisions copies documentation and design data Software are copyrighted trade secret and confidential information of Mentor Graphics or its licensors who maintain exclusive title to all So
Download Pdf Manuals
Related Search
Related Contents
Service Manual IS-DEV KIT-9 User Manual auroMATIC 560 Harmony Home Automation Starter Kit User Guide Les ateliers vélo - Club des villes cyclables Broan 30" Ductless Range Hood 413004 User's Manual Séries 84/85/86 Comodo Firewall Pro 2.4 SYSTEM VII Access Control Software: User Manual Copyright © All rights reserved.
Failed to retrieve file